首页 > 工程造价 >造价学术 >造价其他资料 > FPGA在电梯控制系统中的应用

FPGA在电梯控制系统中的应用

原价 100 积分

促销价 50 评分 4.5 积分

*温馨提示:该数据为用户自主上传分享,如有侵权请 举报联系客服处理。
报错
  • 详情
  • 2020-12-27
  • 简介
  • pdf
  • 796KB
  • 页数 3P
  • 阅读 94
  • 下载 35
介绍了基于Altera公司EP1K30TC144芯片的电梯控制器设计过程,描述了该控制系统的功能。该设计采用VHDL语言进行编程,以QUARTUSⅡ软件为开发平台,对本设计进行了仿真,并使用JTAG将程序代码下载到实验板上进行了硬件验证。

对不起,您暂无在线预览权限,如需浏览请

立即登录